Croeso i'n gwefannau!

Cynhyrchion

  • Y berthynas rhwng plât brethyn PCB ac EMC

    Y berthynas rhwng plât brethyn PCB ac EMC

    Canllaw: Wrth siarad am yr anhawster o newid cyflenwad pŵer, nid yw problem plât brethyn PCB yn anodd iawn, ond os ydych chi am sefydlu bwrdd PCB da, rhaid i'r cyflenwad pŵer newid fod yn un o'r anawsterau (nid yw dyluniad PCB yn dda, a allai achosi ni waeth sut rydych chi'n dadfygio'r difa chwilod Mae'r paramedrau'n dadfygio'r brethyn.Nid yw hyn yn frawychus), oherwydd mae yna lawer o ffactorau sy'n ystyried byrddau brethyn PCB, megis perfformiad trydanol, llwybr proses, gofynion diogelwch, EMC eff...
  • Mae un erthygl yn deall |Beth yw'r sail ar gyfer dewis y broses brosesu wyneb yn y ffatri PCB

    Mae un erthygl yn deall |Beth yw'r sail ar gyfer dewis y broses brosesu wyneb yn y ffatri PCB

    Pwrpas mwyaf sylfaenol triniaeth wyneb PCB yw sicrhau weldadwyedd da neu briodweddau trydanol.Oherwydd bod copr mewn natur yn tueddu i fodoli ar ffurf ocsidau yn yr aer, mae'n annhebygol o gael ei gynnal fel y copr gwreiddiol am amser hir, felly mae angen ei drin â chopr.Mae yna lawer o brosesau trin wyneb PCB.Yr eitemau cyffredin yw asiantau amddiffynnol fflat, organig wedi'u weldio (OSP), aur nicel-plated bwrdd llawn, Shen Jin, Shenxi, Shenyin, nicel cemegol, aur, ac etholedig ...
  • Dysgwch am y cloc ar y PCB

    Dysgwch am y cloc ar y PCB

    1. Cynllun a, dylid trefnu'r grisial cloc a chylchedau cysylltiedig yn safle canolog y PCB a chael ffurfiant da, yn hytrach nag yn agos at y rhyngwyneb I/O.Ni ellir troi'r gylched cynhyrchu cloc yn ffurflen cerdyn merch neu fwrdd merch, rhaid ei gwneud ar fwrdd cloc neu fwrdd cludo ar wahân.Fel y dangosir yn y ffigur canlynol, mae rhan blwch gwyrdd yr haen nesaf yn dda i beidio â cherdded y llinell b, dim ond y dyfeisiau sy'n gysylltiedig â'r cylched cloc yn y cylched cloc PCB a ...
  • Cadwch y pwyntiau gwifrau PCB hyn mewn cof

    Cadwch y pwyntiau gwifrau PCB hyn mewn cof

    1. Arfer cyffredinol Yn nyluniad PCB, er mwyn gwneud y dyluniad bwrdd cylched amledd uchel yn fwy rhesymol, yn well perfformiad gwrth-ymyrraeth, dylid ei ystyried o'r agweddau canlynol: (1) Detholiad rhesymol o haenau Wrth lwybro byrddau cylched amledd uchel mewn dylunio PCB, defnyddir yr awyren fewnol yn y canol fel y pŵer a'r haen ddaear, a all chwarae rôl cysgodi, lleihau'r anwythiad parasitig yn effeithiol, byrhau hyd y llinellau signal, a lleihau'r groes ...
  • Ydych chi'n deall dwy reol dylunio PCB wedi'i lamineiddio?

    Ydych chi'n deall dwy reol dylunio PCB wedi'i lamineiddio?

    1. Rhaid i bob haen llwybro gael haen gyfeirio gyfagos (cyflenwad pŵer neu ffurfio);2. Dylid cadw'r prif haen pŵer cyfagos a'r ddaear ar bellter lleiaf i ddarparu cynhwysedd cyplu mawr;Mae'r canlynol yn enghraifft o stac dwy haen i wyth haen: Bwrdd PCB ochr A.single a bwrdd PCB ochr dwbl wedi'i lamineiddio Ar gyfer dwy haen, oherwydd bod nifer yr haenau yn fach, nid oes problem lamineiddio.Mae rheolaeth ymbelydredd EMI yn cael ei ystyried yn bennaf o'r gwifrau a ...
  • Gwybodaeth oer

    Gwybodaeth oer

    Beth yw lliw y bwrdd PCB, fel y mae'r enw'n awgrymu, wrth gael bwrdd PCB, y mwyaf greddfol i weld lliw yr olew ar y bwrdd, hynny yw, rydym yn gyffredinol yn cyfeirio at liw y bwrdd PCB, lliwiau cyffredin yn wyrdd, glas, coch a du ac ati.Mae'r Xiaobian canlynol yn rhannu eu dealltwriaeth o wahanol liwiau.1, inc gwyrdd yw'r un a ddefnyddir fwyaf o bell ffordd, y digwyddiad hanesyddol hiraf, ac yn y farchnad gyfredol hefyd yw'r rhataf, felly mae gwyrdd yn cael ei ddefnyddio gan nifer fawr o weithgynhyrchu ...
  • Ynglŷn â dyfeisiau DIP, PCB pobl nad yw rhai yn poeri pwll cyflym!

    Ynglŷn â dyfeisiau DIP, PCB pobl nad yw rhai yn poeri pwll cyflym!

    Mae DIP yn ategyn.Mae gan sglodion sydd wedi'u pecynnu yn y modd hwn ddwy res o binnau, y gellir eu weldio'n uniongyrchol i socedi sglodion gyda strwythur DIP neu eu weldio i safleoedd weldio gyda'r un nifer o dyllau.Mae'n gyfleus iawn gwireddu weldio tylliad bwrdd PCB, ac mae ganddo gydnawsedd da â'r famfwrdd, ond oherwydd ei ardal becynnu a'i drwch yn gymharol fawr, ac mae'r pin yn y broses o fewnosod a thynnu yn hawdd i gael ei niweidio, dibynadwyedd gwael.DIP yw'r plu mwyaf poblogaidd...
  • Trwch Copr 1oz Gwneuthurwr Bwrdd PCBA Offer meddygol HDI PCBA Multilayer Circuit PCBA

    Trwch Copr 1oz Gwneuthurwr Bwrdd PCBA Offer meddygol HDI PCBA Multilayer Circuit PCBA

    Manylebau Allweddol / Nodweddion Arbennig:
    Trwch Copr 1oz Gwneuthurwr Bwrdd PCBA Offer meddygol HDI PCBA Multilayer Circuit PCBA.

  • Gwrthdröydd storio ynni PCBA Cynulliad bwrdd cylched printiedig ar gyfer gwrthdroyddion storio ynni

    Gwrthdröydd storio ynni PCBA Cynulliad bwrdd cylched printiedig ar gyfer gwrthdroyddion storio ynni

    1. Codi tâl cyflym iawn: cyfathrebu integredig a thrawsnewid DC dwy ffordd

    2. High -efficiency: Mabwysiadu dylunio technoleg uwch, colli isel, gwresogi isel, arbed pŵer batri, ymestyn amser rhyddhau

    3. Cyfaint bach: dwysedd pŵer uchel, gofod bach, pwysau isel, cryfder strwythurol cryf, sy'n addas ar gyfer cymwysiadau cludadwy a symudol

    4. Addasrwydd llwyth da: allbwn 100/110/120V neu 220/230/240V, ton sine 50/60Hz, gallu gorlwytho cryf, sy'n addas ar gyfer gwahanol ddyfeisiau TG, offer trydan, offer cartref, peidiwch â chodi'r llwyth

    5. Amrediad amlder foltedd mewnbwn ultra-eang: Foltedd mewnbwn hynod eang 85-300VAC (system 220V) neu system 70-150VAC 110V) ac ystod mewnbwn amlder 40 ~ 70Hz, heb ofni'r amgylchedd pŵer llym

    6. Defnyddio technoleg rheoli digidol DSP: Mabwysiadu technoleg rheoli digidol DSP uwch, amddiffyniad aml-berffaith, sefydlog a dibynadwy

    7. Dyluniad cynnyrch dibynadwy: pob bwrdd dwy ochr ffibr gwydr, ynghyd â chydrannau rhychwant mawr, cryf, ymwrthedd cyrydiad, gan wella addasrwydd amgylcheddol yn fawr

  • FPGA Intel Arria-10 GX gyfres MP5652-A10

    FPGA Intel Arria-10 GX gyfres MP5652-A10

    Mae nodweddion allweddol cyfres Arria-10 GX yn cynnwys:

    1. Rhesymeg dwysedd uchel a pherfformiad uchel ac adnoddau DSP: Mae'r FPGAs Arria-10 GX yn cynnig nifer fawr o elfennau rhesymeg (LEs) a blociau prosesu signal digidol (DSP).Mae hyn yn caniatáu ar gyfer gweithredu algorithmau cymhleth a dyluniadau perfformiad uchel.
    2. Trosglwyddyddion cyflym: Mae cyfres Arria-10 GX yn cynnwys trosglwyddyddion cyflym iawn sy'n cefnogi protocolau amrywiol fel PCI Express (PCIe), Ethernet, ac Interlaken.Gall y trosglwyddyddion hyn weithredu ar gyfraddau data hyd at 28 Gbps, gan alluogi cyfathrebu data cyflym.
    3. Rhyngwynebau cof cyflym: Mae'r FPGAs Arria-10 GX yn cefnogi rhyngwynebau cof amrywiol, gan gynnwys DDR4, DDR3, QDR IV, a RLDRAM 3. Mae'r rhyngwynebau hyn yn darparu mynediad lled band uchel i ddyfeisiau cof allanol.
    4. Prosesydd ARM Cortex-A9 integredig: Mae rhai aelodau o gyfres Arria-10 GX yn cynnwys prosesydd ARM Cortex-A9 craidd deuol integredig, sy'n darparu is-system brosesu bwerus ar gyfer cymwysiadau wedi'u mewnosod.
    5. Nodweddion integreiddio system: Mae'r FPGAs Arria-10 GX yn cynnwys perifferolion a rhyngwynebau ar sglodion amrywiol, megis GPIO, I2C, SPI, UART, a JTAG, i hwyluso integreiddio system a chyfathrebu â chydrannau eraill.
  • FPGA Xilinx K7 Kintex7 PCIe cyfathrebu ffibr optegol

    FPGA Xilinx K7 Kintex7 PCIe cyfathrebu ffibr optegol

    Dyma drosolwg cyffredinol o'r camau dan sylw:

    1. Dewiswch fodiwl trosglwyddydd optegol priodol: Yn dibynnu ar ofynion penodol eich system gyfathrebu optegol, byddai angen i chi ddewis modiwl transceiver optegol sy'n cefnogi'r donfedd dymunol, cyfradd data, a nodweddion eraill.Mae opsiynau cyffredin yn cynnwys modiwlau sy'n cefnogi Gigabit Ethernet (ee, modiwlau SFP/SFP+) neu safonau cyfathrebu optegol cyflymach (ee, modiwlau QSFP/QSFP+).
    2. Cysylltwch y trosglwyddydd optegol â'r FPGA: Mae'r FPGA fel arfer yn rhyngwynebu â'r modiwl trosglwyddydd optegol trwy gysylltiadau cyfresol cyflym.Gellir defnyddio trosglwyddyddion integredig y FPGA neu binnau I/O pwrpasol sydd wedi'u cynllunio ar gyfer cyfathrebu cyfresol cyflym at y diben hwn.Byddai angen i chi ddilyn taflen ddata'r modiwl trosglwyddydd a chanllawiau dylunio cyfeirio i'w gysylltu'n iawn â'r FPGA.
    3. Gweithredu'r protocolau a phrosesu signal angenrheidiol: Unwaith y bydd y cysylltiad corfforol wedi'i sefydlu, byddai angen i chi ddatblygu neu ffurfweddu'r protocolau angenrheidiol ac algorithmau prosesu signal ar gyfer trosglwyddo a derbyn data.Gall hyn gynnwys gweithredu'r protocol PCIe angenrheidiol ar gyfer cyfathrebu â'r system westeiwr, yn ogystal ag unrhyw algorithmau prosesu signal ychwanegol sydd eu hangen ar gyfer amgodio / datgodio, modiwleiddio / dadfodylu, cywiro gwallau, neu swyddogaethau eraill sy'n benodol i'ch cais.
    4. Integreiddio â rhyngwyneb PCIe: Mae gan y Xilinx K7 Kintex7 FPGA reolwr PCIe adeiledig sy'n caniatáu iddo gyfathrebu â'r system westeiwr gan ddefnyddio'r bws PCIe.Byddai angen i chi ffurfweddu ac addasu'r rhyngwyneb PCIe i fodloni gofynion penodol eich system cyfathrebu optegol.
    5. Profi a gwirio'r cyfathrebiad: Ar ôl ei roi ar waith, byddai angen i chi brofi a gwirio ymarferoldeb cyfathrebu ffibr optegol gan ddefnyddio offer profi a methodolegau priodol.Gall hyn gynnwys gwirio cyfradd y data, cyfradd gwallau didau, a pherfformiad cyffredinol y system.
  • FPGA XILINX-K7 KINTEX7 XC7K325 410T gradd ddiwydiannol

    FPGA XILINX-K7 KINTEX7 XC7K325 410T gradd ddiwydiannol

    Model llawn: FPGA XILINX-K7 KINTEX7 XC7K325 410T

    1. Cyfres: Kintex-7: Mae FPGAs cyfres Kintex-7 Xilinx wedi'u cynllunio ar gyfer cymwysiadau perfformiad uchel ac maent yn cynnig cydbwysedd da rhwng perfformiad, pŵer a phris.
    2. Dyfais: XC7K325: Mae hyn yn cyfeirio at y ddyfais benodol o fewn y gyfres Kintex-7.Mae'r XC7K325 yn un o'r amrywiadau sydd ar gael yn y gyfres hon, ac mae'n cynnig rhai manylebau, gan gynnwys gallu celloedd rhesymeg, sleisys DSP, a chyfrif I / O.
    3. Cynhwysedd Rhesymeg: Mae gan yr XC7K325 gapasiti cell rhesymeg o 325,000.Mae celloedd rhesymeg yn flociau adeiladu rhaglenadwy mewn FPGA y gellir eu ffurfweddu i weithredu cylchedau a swyddogaethau digidol.
    4. Sleisys DSP: Mae sleisys DSP yn adnoddau caledwedd pwrpasol o fewn FPGA sydd wedi'u optimeiddio ar gyfer tasgau prosesu signal digidol.Gall union nifer y tafelli DSP yn yr XC7K325 amrywio yn dibynnu ar yr amrywiad penodol.
    5. Cyfrif I/O: Mae'r “410T” yn rhif y model yn nodi bod gan yr XC7K325 gyfanswm o 410 o binnau I/O defnyddiwr.Gellir defnyddio'r pinnau hyn i ryngwynebu â dyfeisiau allanol neu gylchedau digidol eraill.
    6. Nodweddion Eraill: Efallai y bydd gan y FPGA XC7K325 nodweddion eraill, megis blociau cof integredig (BRAM), trosglwyddyddion cyflym ar gyfer cyfathrebu data, ac opsiynau cyfluniad amrywiol.
123456Nesaf >>> Tudalen 1/6