Croeso i'n gwefannau!

FPGA Xilinx K7 Kintex7 PCIe cyfathrebu ffibr optegol

Disgrifiad Byr:

Dyma drosolwg cyffredinol o'r camau dan sylw:

  1. Dewiswch fodiwl trosglwyddydd optegol priodol: Yn dibynnu ar ofynion penodol eich system gyfathrebu optegol, byddai angen i chi ddewis modiwl transceiver optegol sy'n cefnogi'r donfedd dymunol, cyfradd data, a nodweddion eraill.Mae opsiynau cyffredin yn cynnwys modiwlau sy'n cefnogi Gigabit Ethernet (ee, modiwlau SFP/SFP+) neu safonau cyfathrebu optegol cyflymach (ee, modiwlau QSFP/QSFP+).
  2. Cysylltwch y trosglwyddydd optegol â'r FPGA: Mae'r FPGA fel arfer yn rhyngwynebu â'r modiwl trosglwyddydd optegol trwy gysylltiadau cyfresol cyflym.Gellir defnyddio trosglwyddyddion integredig y FPGA neu binnau I/O pwrpasol sydd wedi'u cynllunio ar gyfer cyfathrebu cyfresol cyflym at y diben hwn.Byddai angen i chi ddilyn taflen ddata'r modiwl trosglwyddydd a chanllawiau dylunio cyfeirio i'w gysylltu'n iawn â'r FPGA.
  3. Gweithredu'r protocolau a phrosesu signal angenrheidiol: Unwaith y bydd y cysylltiad corfforol wedi'i sefydlu, byddai angen i chi ddatblygu neu ffurfweddu'r protocolau angenrheidiol ac algorithmau prosesu signal ar gyfer trosglwyddo a derbyn data.Gall hyn gynnwys gweithredu'r protocol PCIe angenrheidiol ar gyfer cyfathrebu â'r system westeiwr, yn ogystal ag unrhyw algorithmau prosesu signal ychwanegol sydd eu hangen ar gyfer amgodio / datgodio, modiwleiddio / dadfodylu, cywiro gwallau, neu swyddogaethau eraill sy'n benodol i'ch cais.
  4. Integreiddio â rhyngwyneb PCIe: Mae gan y Xilinx K7 Kintex7 FPGA reolwr PCIe adeiledig sy'n caniatáu iddo gyfathrebu â'r system westeiwr gan ddefnyddio'r bws PCIe.Byddai angen i chi ffurfweddu ac addasu'r rhyngwyneb PCIe i fodloni gofynion penodol eich system cyfathrebu optegol.
  5. Profi a gwirio'r cyfathrebiad: Ar ôl ei roi ar waith, byddai angen i chi brofi a gwirio ymarferoldeb cyfathrebu ffibr optegol gan ddefnyddio offer profi a methodolegau priodol.Gall hyn gynnwys gwirio cyfradd y data, cyfradd gwallau didau, a pherfformiad cyffredinol y system.

Manylion Cynnyrch

Tagiau Cynnyrch

Disgrifiad o'r Cynnyrch:

  • DDR3 SDRAM: bws 16GB DDR3 64bit, cyfradd data 1600Mbps
  • Fflach QSPI: Darn o 128mbit QSPIFLASH, y gellir ei ddefnyddio ar gyfer ffeiliau cyfluniad FPGA a storio data defnyddwyr
  • Rhyngwyneb PCLEX8: Defnyddir y rhyngwyneb PCLEX8 safonol i gyfathrebu â chyfathrebu PCIE mamfwrdd y cyfrifiadur.Mae'n cefnogi'r safon PCI, Express 2.0.Gall y gyfradd gyfathrebu un sianel fod mor uchel â 5Gbps
  • Porth cyfresol USB UART: Porth cyfresol, cysylltu â'r PC trwy'r cebl miniusb i berfformio cyfathrebu cyfresol
  • Cerdyn micro SD: Sedd cerdyn Microsd yr holl ffordd, gallwch chi gysylltu'r cerdyn Microsd safonol
  • Synhwyrydd tymheredd: sglodion synhwyrydd tymheredd LM75, sy'n gallu monitro'r tymheredd amgylcheddol o amgylch y bwrdd datblygu
  • Porthladd estyniad FMC: HPC FMC a FMCLPC, a all fod yn gydnaws â chardiau bwrdd ehangu safonol amrywiol
  • Terfynell cysylltiad cyflymder uchel ERF8: 2 borthladd ERF8, sy'n cefnogi estyniad 40pin trawsyrru signal cyflymder uchel iawn: neilltuwyd rhyngwyneb IO estyniad cyffredinol gyda 2.54mm40pin, mae gan O effeithiol 17 pâr, cefnogaeth 3.3V
  • Gall cysylltiad ymylol y lefel a'r lefel 5V gysylltu perifferolion ymylol gwahanol ryngwynebau pwrpas cyffredinol 1O
  • terfynell SMA;13 o bennau SMA plât aur o ansawdd uchel, sy'n gyfleus i ddefnyddwyr gydweithredu â chardiau ehangu FMC AD/DA cyflym ar gyfer casglu a phrosesu signal
  • Rheoli Cloc: Aml-cloc ffynhonnell.Mae'r rhain yn cynnwys ffynhonnell cloc gwahaniaethol system 200MHz SIT9102
  • Osgiliad grisial gwahaniaethol: grisial 50MHz a sglodyn rheoli cloc rhaglenadwy SI5338P: hefyd wedi'i gyfarparu â
  • 66MHz EMCCLK.Yn gallu addasu'n gywir i amlder cloc defnydd gwahanol
  • Porthladd JTAG: 10 pwyth porthladd JTAG safonol 2.54mm, i'w lawrlwytho a dadfygio rhaglenni FPGA
  • Sglodion monitro foltedd is-ailosod: darn o sglodyn monitro foltedd ADM706R, ac mae'r botwm gyda'r botwm yn darparu signal ailosod byd-eang ar gyfer y system
  • LED: 11 o oleuadau LED, nodwch gyflenwad pŵer y cerdyn bwrdd, signal config_done, FMC
  • Signal dangosydd pŵer, a 4 defnyddiwr LED
  • Allwedd a switsh: mae 6 allwedd a 4 switsh yn fotymau ailosod FPGA,
  • Mae botwm Rhaglen B a 4 allwedd defnyddiwr wedi'u cyfansoddi.4 switsh taflu dwbl cyllell sengl

  • Pâr o:
  • Nesaf:

  • Ysgrifennwch eich neges yma a'i hanfon atom